CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列 vhdl

搜索资源列表

  1. prbs

    1下载:
  2. 伪随机序列产生器,VHDL程序,不记得在哪个论坛上下的。
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:1673
    • 提供者:韩丹
  1. cmi

    0下载:
  2. 伪随机序列码发生器及基带传输CMI码编、译码的VHDL语言实现
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:179050
    • 提供者:winnichan
  1. vhdl

    4下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1054
    • 提供者:文成
  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. PN_Generator.rar

    0下载:
  2. 用Verilog编写的一个简单的产生伪随机序列的代码(m序列),比较实用。,Verilog prepared with the emergence of a simple pseudo-random code sequence (m sequence), more practical.
  3. 所属分类:matlab例程

    • 发布日期:2017-03-23
    • 文件大小:117177
    • 提供者:龚阳
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. BER_examination

    2下载:
  2. 基于FPGA的伪随机序列误码率检测,包括随机序列的发生,随机序列的接收统计。-FPGA-based pseudo-random sequence of bit error rate testing, including the occurrence of random sequence, random sequence to receive statistics.
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:500187
    • 提供者:wlq
  1. vhdl

    1下载:
  2. 伪随机序列发生器得VHDL语言源代码,已通过仿真。-Pseudo-random sequence generator may VHDL language source code, by simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:1565
    • 提供者:jacen
  1. awgn

    3下载:
  2. 高斯白噪声的VHDL实现。伪随机序列只能输出均匀噪声,需要打乱相关性。-awgn in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-18
    • 文件大小:1298
    • 提供者:terry.ding
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. VHDL-source-code

    1下载:
  2. 一些有用的VHDL代码 包括伪随机序列发生器等-VHDL code, including some useful pseudo-random sequence generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:44839
    • 提供者:yfgf
  1. pseudo-sequence-vhdl

    0下载:
  2. 常用的几种伪随机序列的仿真及性能分析,进而运用组合序列的思想,尝试不同的序列以不同组合方式生成的新的伪随机序列,并用FPGA分析其性能,得出组合序列的一般的规律,借此推导出了一种新的组合序列——异族Gold组合序列。-Through simulation and performance analysis of several commonly use¬ d pseudo-random sequence in the FPGA environment, use the ideas of c
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-14
    • 文件大小:3838
    • 提供者:杨远望
  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-
  3. 所属分类:文档资料

  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)--Design of VHDL algorithm for pseudo random sequence generator is a pseudorandom sequence generator, using the generating polynomial 1+X^3+X^7. RESET has a cli
  3. 所属分类:File Formats

    • 发布日期:2017-05-06
    • 文件大小:1304572
    • 提供者:沙爽
  1. pseudo-random-number-VHDL

    0下载:
  2. 伪随机序列发生器的vhdl软件,有m序列和gold序列的算法-pseudo random number generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:46228
    • 提供者:gone
  1. vhdl 伪随机序列发生器

    2下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X3+X7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)
  3. 所属分类:VHDL编程

« 12 »
搜珍网 www.dssz.com